System Verilog Interview Questions Part 1

In this post I am writing some frequently asked System Verilog Interview Questions

Q1. What is the difference between logic and bit in System Verilog?

Q2. What is virtual interface?

Q3. What is abstract class?

Q4. What is "This" keyword in the system Verilog?

Q5. What is the difference between System Verilog packed and unpacked array?

Q6. What is the difference between $random and $urandom?

Q7. What is inheritance and polymorphism?

Q8. What is the type of System Verilog assertions?

Q9. What is the difference between rand and randc?

Q10. What is the importance of coverage in System Verilog verification?

Q11. What are the basic test bench components?

Q12. Explain the difference between deep copy and shallow copy?

Q13. What is a clocking block and why is it used?

Q14. What is the difference between data types logic and reg?

Q15. What is OOPS?

Q16. What is DPI?

Q17. What is a mod port and why is it used?

Q18. What is cross coverage?

Q19. What is coverage driven verification?

Q20. What are the system tasks?

Q21. What are parameterized classes?

Q22. What is the difference between logic[7:0] and byte variable in System Verilog?

Q23. What is the difference between “case”, “casex” and “casez” in System Verilog?

Q24. What is the difference between new () and new [ ] in System Verilog?

Q25. What are the main regions inside a System Verilog simulation time step?

Q26. What are pre_randomize () and post_randomize () functions?

Q27. What is the difference between fork join, fork join_any and fork join_none?

Q28. What does keyword “extends” represent in System Verilog?

Q29. What are Semaphores? When are they used?

Q30. What are Mailboxes? What are the uses of a Mailbox?
SHARE

vlsi4freshers

Hi I’m Designer of this blog.

    Blogger Comment
    Facebook Comment

0 comments:

Post a Comment