UVM Interview Questions Part 1

In this post I am writing some frequently asked UVM Interview Questions

Q1. What are the benefits of using UVM?

Q2. What is the UVM RAL model? Why it is required?

Q3. What is TLM FIFO?

Q4. What are the different phases in UVM?

Q5. What is virtual sequence and a virtual sequencer?

Q6. What is a phase objection?

Q7. What are the different test bench components in UVM?

Q8. Difference between uvm_transaction and uvm_seq_item?

Q9. What are TLM ports and exports?

Q10. Explain the concept of Agent in UVM methodology.

Q11. How is ACTIVE agent different from PASSIVE agent?

Q12. What is a sequencer and a driver, and why are they needed?

Q13. What is the difference between a monitor and a scoreboard in UVM?

Q14. What is p_sequencer?

Q15. What is the difference between copy and clone?

Q16. Why we need to register class with the UVM factory?

Q17. What is the difference between new () and create ()?

Q18. What is use of uvm_event?

Q19. What is the UVM factory?

Q20. What is the super keyword?

Q21. What is m_sequencer handle?

Q22. What is uvm_transaction and uvm_component?

Q23. How the sequence starts?

Q24. What is meant by factory override?

Q25. What is uvm_config_db and what is it used for?
Click here for part 2
SHARE

vlsi4freshers

Hi I’m Designer of this blog.

    Blogger Comment
    Facebook Comment

0 comments:

Post a Comment