Physical Design Interview Questions Part 1

In this post I am writing some frequently asked Physical Design Interview Questions
Q1. What are the inputs files for Physical Design Flow?
  • Logical libraries (.lib format) provided by vendor
  • Physical libraries (.lef format) provided by vendor
  • Technology libraries (.tf(synopsys) format) provided by fabrication Team
  • TLU+ file (.tlup format) provided by fabrication Team
  • Netlist (.v format) provided by Synthesis Team
  • Constraints files (.sdc format) provided by Synthesis Team
Q2. What are the types in physical verification?
  • DRC(Design Rule Check)
  • LVS (Layout vs schematic)
  • ERC (Electrical rule check)
  • Antenna Check
Q3. What is congestion?
  • If the number of routing tracks available for routing is less than the required tracks then it is called congestion.
Q4. How can you reduce dynamic power?
  • Clock gating
  • Reduce supply voltage
  • Reduce switching activity
  • Use multiple voltage domains –multi vdd.
Q5. What is crosstalk?
  • Switching of the signal in one net (aggressor) can interfere neighbouring net (victim)due to cross coupling capacitance this is called cross talk. Cross talk may lead to setup and hold violation.
Q6.  How will you decide the die size?
  • By checking the total area of the design.
Q7. What is signal integrity? How its affect timing?
  • Signal integrity is the ability of an electrical signal to carry information reliably and resist the effects of high-frequency electromagnetic interference from nearby signals.
  • IR drop, cross talk, electro migration, ground bounce are signal integrity issues.
  • Crosstalk may lead to set up and hold violation.
  • If IR drop is more delay is increases.
 Q8.  What is IR Drop?
  • The power supply in the chip is distributed uniformly through metal layers Vdd and Vssacross the design. These metal layers have finite amount of resistance. When voltage is applied to this metal wires current starts flowing through the metal layers and there is some voltage drop due to resistance of metal wires and current. This drop is called IR drop.
Q9. What is antenna effect?
  • During the fabrication of MOS integrated circuits, especially at the time of plasma etching, there will be a chance of collecting more charges at the gate and causes damage to the gate oxide layer since it is very thin. This condition is known as Antenna effect.
Q10. How to qualify placement?
  • Check legalization for any overlapping.
  • Check global congestion and pin density.
  • Check cell density.
  • Check whether all don't touch cells and nets are preserved.
  • Check for setup time violation
Q11. Difference between Clock buffers and Normal buffers.
  • Normal buffers have unequal rise and fall time.
  • Clock buffers have equal rise and fall time.
Q12. Define Clock tree synthesis.
  • Clock Tree Synthesis (CTS) is a process which makes sure that the clock gets distributed evenly to all sequential elements in a design.
  • CTS is the process of insertion of buffers or inverters along the clock paths of design in order to achieve minimum skew or balanced skew.
Q13. What are the outputs of Floor Planning Stage?
  • Die/Block area
  • I/O pad/placed
  • Macro placed
  • Power grid design
  • Power pre-routing
  • Standard cell placement areas
Q14. How to fix crosstalk issue?
  • Double spacing
  • Buffer insertion
  • Shielding
Q15. What is slack?
  • Slack is the difference between the required time and the arrival time of a signal.
  • Setup slack = Required time - Arrival time
  • Hold slack = Arrival time - Required time
Q16. Define Clock latency.
  • Clock latency is defined as the amount of time taken by the clock signal in travelling from its source to the sinks or destination.
Q17. What is routing in physical design?
  • Routing is nothing but connecting the various blocks in the chip with one another. This includes the interconnection of the standard cells, the macro pins, the pins of the block boundary.
Stages of Routing
  • Global Routing
  • Track Assignment
  • Detailed Routing
  • Search and repair
Click here for more detail about routing
Click here for part 2
https://www.vlsi4freshers.com/2020/07/physical-design-interview-questions-part2.html
SHARE

vlsi4freshers

Hi I’m Designer of this blog.

    Blogger Comment
    Facebook Comment

1 comments: